Intel zbulon ‘roadmap’ për procesorët e ardhshëm

CEO Pat Gelsinger zbuloi udhërrëfyesin e ri të procesit të prodhimit deri në vitin 2027 gjatë Foundry Direct Connect, konferencës së parë që bashkon drejtuesit nga Intel, ARM, MediaTek, Broadcom dhe UMC. Gjithashtu në mesin e pjesëmarrësve ishin Sam Altman (CEO i OpenAI), Gina Raimondo (Sekretare e Tregtisë) dhe Satya Nadella (CEO i Microsoft).

Pesë nyje në katër vjet
Rreth tre vjet më parë, CEO njoftoi proceset e prodhimit të Intel 7, 4, 3, 20A dhe 18A. Aktualisht, procesi Intel 4 (7 nanometra) përdoret për procesorët Core Ultra (arkitektura e Meteor Lake), i pari që përdor EUV (Litografia Ultraviolet ekstreme).

Proceset Intel 3 dhe 20A do të jenë të disponueshme deri në vitin 2024, si dhe Intel 3-T. Prapashtesa T tregon teknologjinë TSV (Through-Silicon Vias) të paketimit 3D. Ato do të përdoren për përpunuesit Sierra Forest, Granite Rapids dhe Arrow Lake (20A). Intel 18A do të arrijë në 2025 dhe do të përdoret për procesorët Panther Lake dhe Clearwater Forest. Udhërrëfyesi 5N4Y (5 nyje në 4 vjet) përfshin në mënyrë të njëpasnjëshme proceset Intel 3-E, 3-PT, 18A-P, 14A. 14A-E dhe 12 midis 2025 dhe 2027.

Prapashtesa E tregon Zgjerimin e Veçorisë, pra veçori të reja të procesit të prodhimit (për shembull, mbështetje për tensione dhe temperatura më të larta). Prapashtesa P tregon Përmirësimin e Performancës, pra një rritje të performancës për Watt.

Intel 18A do të jetë procesi i parë i prodhimit për transistorët RibbonFET (versioni i Intel i teknologjisë Gate-All-Around), ndërsa Intel 14A do të jetë i pari që do të përdorë High-NA EUV (High-Numerical Aperture Extreme Ultraviolet Lithography). Procesi Intel 12 do të zhvillohet në bashkëpunim me UMC.

Gjatë konferencës, Microsoft njoftoi se do të përdorë procesin e prodhimit të Intel 18A për të prodhuar çipat e tij, përfshirë ato për inteligjencën artificiale gjeneruese.


This is a companion discussion topic for the original entry at https://zero1.al/2024/02/22/intel-zbulon-roadmap-per-procesoret-e-ardhshem/